自学内容网 自学内容网

Vivado工程如何生成TCL文件以及如何利用TCL文件还原工程

Vivado工程如何生成TCL以及如何利用TCL还原工程

为了方便Vivado工程的保存和传输,尤其是功能复杂的工程,其工程容量越大,因此,为了能够保留工程功能的同时,又尽可能的减小工程体积,Vivado推出了tcl保存的方式,本文以ZYNQ为例,工程中包含BD设计。

一、Vivado工程如何生成TCL文件

1、在Vivado软件中点击Open Block Design进入BD界面;
2、在Vivado的tcl命令框中使用cd命令进入想要存在BD tcl的位置,需注意目录中/方向;
在这里插入图片描述

3、在tcl命令框中输入:write_bd_tcl **.tcl,其中为tcl名称可以自定义;
在这里插入图片描述

4、找到工程中BD所在的地方,右键点击BD选择Remove File from Project,删除工程中的BD文件,在删除前需要先退出Block Design界面
在这里插入图片描述

到此时,针对工程中BD部分的tcl已经生成,然后开始进行RTL部分tcl的生成;
5、进入vivado代码界面,任意代码文件界面;
6、类似BD同样的方式,在TCL命令框中使用cd指令选择存在RTL tcl的位置;
7、在tcl命令框中输入write_project_tcl my_project.tcl,其中可以自定义tcl名称;
在这里插入图片描述

如果创建工程时会刻意将RTL代码文件放在单独的文件夹内,此时可以删除prj文件夹,进一步缩减工程文件夹大小;生成tcl文件后切勿删除rtl代码,否则恢复时会失败

一、利用TCL文件还原Vivado工程

TCL生成一般是为了存储或传输时体积变小,方便存储和传输。当需要通过tcl恢复工程时,此时按照生成时的步骤反过来操作,先恢复工程再恢复BD。
1、在TCL命令框中,通过cd命令进入到存放project.tcl的目录;
2、在TCL命令框中输入source ./my_project.tcl,其中my_project.tcl为先前生成的工程tcl文件;
3、在TCL命令框中,通过cd命令进入到存放bd.tcl的目录;
4、在TCL命令框中输入source ./my_bd.tcl,其中my_bd.tcl为先前生成的bd的tcl文件。

此时观察Vivado信息框中是否有报错信息产生,如果未进行工程内部的文件夹变动一般不会产生错误。此时,完成了工程的创建tcl以及利用tcl来还原工程。


原文地址:https://blog.csdn.net/weixin_45143788/article/details/142864302

免责声明:本站文章内容转载自网络资源,如本站内容侵犯了原著者的合法权益,可联系本站删除。更多内容请关注自学内容网(zxcms.com)!