自学内容网 自学内容网

优化UVM环境(四)-comp+run生成的文件比较乱,分类整理

书接上回:

优化UVM环境(一)-环境结束靠的是timeout,而不是正常的objection结束

优化UVM环境(二)-将error/fatal红色字体打印,pass绿色字体打印

优化UVM环境(三)-环境发包较多时,会触发timeout

第一步:makefile加入mode变量,不同mode,生成不同文件夹

第二步:将vcs编译+运行过程中产生的文件进行分类,按照cov/exec/log/wave四个文件夹进行管理,在makefile里创建4个文件夹对应的变量:

第三步:在启动vcs前创建这些文件夹:

这里注意几个makefile命令:

第四步:编译过程产生的文件整理:

这里涉及几个vcs命令:

-l:指定输出log文件名

-Mdir:指定csrc增量编译文件夹名

-o:指定输出simv文件名

需要注意的是:编译过程还会产生simv.daidir文件夹,但是不需要指定该文件夹的路径,因为它会跟随simv的路径产生

第五步:run过程产生的文件整理:

需要注意的是,前面创建的文件夹并没有run文件夹,因此在run命令执行前要先创建该目录:

第六步:打开verdi文件整理:

最后,生成的文件目录结构如下:

另外,还有三个文件没有处理:

暂时不做处理。


原文地址:https://blog.csdn.net/yunduor909/article/details/142991445

免责声明:本站文章内容转载自网络资源,如本站内容侵犯了原著者的合法权益,可联系本站删除。更多内容请关注自学内容网(zxcms.com)!