自学内容网 自学内容网

ZYNQ-Vitis(SDK)裸机开发之(九)PS端XADC接口使用,读取SOC芯片温度、电压,读取外部输入模拟量

目录

一、PS端XADC简介

二、Vivado工程搭建

三、编写Vitis工程

1.头文件:xadc_ps_hdl.h

2.源文件:xadc_ps_hdl.c

3.main函数中调用XADC接口

4.调用后读取温度结果


例程开发环境:

SOC芯片:ZYNQ7020

开发环境:Vivado2020.2,Vitis2020.2

一、PS端XADC简介

       XADC属于硬件接口,直接集成在PS核内,不可配置;可实现芯片内部电压和温度的读取,同时XADC模块也集成了模数转换器,可以将外部输入的模拟电压转换成数字信号,从而实现读取外部模拟信号的功能。

       ZYNQ SoC XADC模块,其内部包含有两个12 位(确保 10 位可靠精度)、转换速率为 1Mbps的 ADC,可以采集模拟信号并转换为数字信号送给 FPGA 内部使用。模块有一对支持差分输入的专用模拟通道输入引脚(VP/VN),在差分输入模式下,VP-VN 的电压输入范围是-0.5V ~ +0.5V;在单端输入模式下,VP-VN 的电压输入范围是 0~1V。在测量单端模拟信号时,ADC 必须在单端输入模式下工作,VP 上的电压(相对于 VN 测量)必须始终为正。

由上图可知,XADC 内部的两块 ADC 分别为 ADC A 与 ADC B,ADC A 是可以接收左侧包括所有片上传感器(温度传感器信息、电源传感器信息)、VP/VN 模拟电压信息以及 16 路辅助模拟输入的;而 ADC B只能接收 VP/VN 模拟电压信息以及 16 路辅助模拟输入。VP/VN 与 16 路辅助模拟输入的不同在于 VP/VN是专用的支持差分输入的模拟通道输入引脚,而 16 路辅助模拟输入是模拟/数字复用引脚,其中某个引脚用作模拟输入,该引脚的数字 IO 功能就不可以再使用。

二、Vivado工程搭建

XADC为PS内部集成接口,不需要单独配置PS,因此本项目工程是在ZYNQ-Vitis(SDK)裸机开发之(一)串口实验工程基础上开发的,一些block design的设计方法,Vitis工程的建立方法等,均在该篇文章中进行了详细的讲解,大家可以去参考:

ZYNQ-Vitis(SDK)裸机开发之(一)串口收发使用:PS串口+PL串口、多个串口使用方法

可以看到PS核内部XADC接口为灰色,不可配置状态,是集成好的硬件接口

三、编写Vitis工程

1.头文件:xadc_ps_hdl.h

  1. 定义XADC的设备ID号
  2. 定义读取温度、电压信息存储的结构体
  3. 定义XADC驱动实例
  4. 实例化一个存储温度、电压的结构体对象
  5. 声明一些XADC操作函数:XADC初始化、电压温度读取函数、信息打印函数
/*!
    \file    xadc_ps_hdl.h
    \brief   firmware functions to manage intr
    \version 2024-04-12, V1.0.0
\author  tbj
*/

#ifndef XADC_PS_HDL_H
#define XADC_PS_HDL_H

#include "xadcps.h"
#include "crc_cal.h"

#ifdef __cplusplus
 extern "C" {
#endif

//PS端XADC设备ID
#define XADC_DEVICE_ID XPAR_XADCPS_0_DEVICE_ID

//存储芯片温度、电压信息结构体
typedef struct{
float temp; //温度
float vcc_pint; //PS 内核电压
float vcc_paux; //PS 辅助电压
float vcc_pddr; //PS DDR 电压
float vcc_int; //PL 内核电压
float vcc_aux; //PL 辅助电压
float vcc_bram; //PL BRAM 电压
}Zynq_Temp_Vcc_Info;

//XADC驱动实例
XAdcPs xadc_inst;
//zynq存储温度电压信息实例
Zynq_Temp_Vcc_Info zynq_info;

//初始化XADC驱动
int Ps_Xadc_Init(XAdcPs *XAdcPsPtr);
//读取zynq芯片温度、电压等信息
void Read_TempVcc_Info(Zynq_Temp_Vcc_Info *Temp_Vcc_Info);
//打印zynq温度、电压等信息
void Print_Zynq_Info(Zynq_Temp_Vcc_Info Temp_Vcc_Info);

#ifdef __cplusplus
}
#endif

#endif /* XADC_PS_HDL_H */

2.源文件:xadc_ps_hdl.c

(1)对头文件中定义的XADC初始化、电压温度读取函数、信息打印函数进行实现

其中READ_EXTERNAL_VCC宏定义下的代码,为读取外部模拟量,即外部电压的代码,其余的为读取芯片内部电压的代码 

/*!
    \file    xadc_ps_hdl.c
    \brief   firmware functions to manage xadc_ps
    \version 2024-04-12, V1.0.0
\author  tbj
*/

#include "xadc_ps_hdl.h"

#define READ_EXTERNAL_VCC

/* 功能:初始化XADC外设
 * 入参1:XADC驱动实例化指针
 */
int Ps_Xadc_Init(XAdcPs *XAdcPsPtr){

int Status = 0;
XAdcPs_Config *ConfigPtr;

//初始化 XADC 驱动
ConfigPtr = XAdcPs_LookupConfig(XADC_DEVICE_ID);
if (NULL == ConfigPtr) {
return XST_FAILURE;
}

Status = XAdcPs_CfgInitialize(&xadc_inst, ConfigPtr, ConfigPtr->BaseAddress);
if (Status != XST_SUCCESS) {
return XST_FAILURE;
}

//设置 XADC 操作模式为“默认安全模式”
XAdcPs_SetSequencerMode(&xadc_inst, XADCPS_SEQ_MODE_SAFE);

#ifdef READ_EXTERNAL_VCC
//使能的相应的通道
XAdcPs_SetSeqChEnables(&xadc_inst,XADCPS_SEQ_CH_VPVN);
//设置为循环模式
XAdcPs_SetSequencerMode(&xadc_inst,XADCPS_SEQ_MODE_CONTINPASS);
#endif

return XST_SUCCESS;
}

/* 功能:读取zynq温度、电压等信息
 * 入参1:温度电压信息结构体指针
 */
void Read_TempVcc_Info(Zynq_Temp_Vcc_Info *Temp_Vcc_Info){

static u32 temp_rawdata; //温度 原始数据
static u32 vcc_pint_rawdata; //PS 内核电压 原始数据
static u32 vcc_paux_rawdata; //PS 辅助电压 原始数据
static u32 vcc_pddr_rawData; //PS DDR 电压 原始数据
static u32 vcc_int_rawdata; //PL 内核电压 原始数据
static u32 vcc_aux_rawdata; //PL 辅助电压 原始数据
static u32 vcc_bram_rawData; //PL BRAM 电压 原始数据

//获取原始温度传感器数据
temp_rawdata = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_TEMP);
//转换成温度信息
Temp_Vcc_Info->temp = XAdcPs_RawToTemperature(temp_rawdata);

//获取 VCCPINT 传感器数据,并转换成电压信息
vcc_pint_rawdata = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VCCPINT);
Temp_Vcc_Info->vcc_pint = XAdcPs_RawToVoltage(vcc_pint_rawdata);

//获取 VCCPAUX 传感器数据,并转换成电压信息
vcc_paux_rawdata = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VCCPAUX);
Temp_Vcc_Info->vcc_paux = XAdcPs_RawToVoltage(vcc_paux_rawdata);

//获取 VCCPDRO 传感器数据,并转换成电压信息
vcc_pddr_rawData = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VCCPDRO);
Temp_Vcc_Info->vcc_pddr = XAdcPs_RawToVoltage(vcc_pddr_rawData);

//获取 VCCINT 传感器数据,并转换成电压信息
vcc_int_rawdata = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VCCINT);
Temp_Vcc_Info->vcc_int = XAdcPs_RawToVoltage(vcc_int_rawdata);

//获取 VCCAUX 传感器数据,并转换成电压信息
vcc_aux_rawdata = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VCCAUX);
Temp_Vcc_Info->vcc_aux = XAdcPs_RawToVoltage(vcc_aux_rawdata);

//获取 VBRAM 传感器数据,并转换成电压信息
vcc_bram_rawData = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VBRAM);
Temp_Vcc_Info->vcc_bram = XAdcPs_RawToVoltage(vcc_bram_rawData);
}

/*
 * 功能:打印zynq温度、电压等信息
 */
void Print_Zynq_Info(Zynq_Temp_Vcc_Info Temp_Vcc_Info){

//打印温度、电压信息
printf("Real Temp %fC \n", Temp_Vcc_Info.temp);
printf("Real VccPInt %fV \n", Temp_Vcc_Info.vcc_pint);
printf("Real VccPAux %fV \n", Temp_Vcc_Info.vcc_paux);
printf("Real VccPDDR %fV \n", Temp_Vcc_Info.vcc_pddr);
printf("Real VccInt %fV \n", Temp_Vcc_Info.vcc_int);
printf("Real VccAux %fV \n", Temp_Vcc_Info.vcc_aux);
printf("Real VccBram %fV \n\r", Temp_Vcc_Info.vcc_bram);

#ifdef READ_EXTERNAL_VCC
float vcc_vpvn;//外部电压转换数据
static u32 vcc_vpvn_rawData; //外部电压原始数据
//VpVn 电压
vcc_vpvn_rawData = XAdcPs_GetAdcData(&xadc_inst, XADCPS_CH_VPVN);
//将模拟电压的输入数据转换成伏为单位的电压值
vcc_vpvn = XAdcPs_RawToVoltage(vcc_vpvn_rawData)/3;
printf("Real VpVn %fV \n\r", vcc_vpvn);
#endif

//延时 2s
sleep(2);
}



3.main函数中调用XADC接口

 

4.调用后读取温度结果

创作不易,希望大家点赞、收藏、关注哦!!!ヾ(o◕∀◕)ノ


原文地址:https://blog.csdn.net/qq_38584212/article/details/137965709

免责声明:本站文章内容转载自网络资源,如本站内容侵犯了原著者的合法权益,可联系本站删除。更多内容请关注自学内容网(zxcms.com)!