自学内容网 自学内容网

FPGA实现UDP通信(3)——数据发送实现

基于FPGA实现UDP通信,看完你就懂了!!!(附源码)

上两篇文章分别介绍了UDP通信的物理接口以及UDP通信协议,忘记的同学可以查看我的上几篇文章,介绍有关UDP通信的物理接口文章地址:FPGA实现UDP通信(2)——通信接口简介-CSDN博客

介绍有关UDP通信协议文章地址:FFGA实现UDP通信(1)——通信协议简介_hdq协议-CSDN博客

本次我们来介绍一下UDP数据发送的FPGA实现,本次用来实现的开发板为锆石科技的FPGA A4_plus开发板,该开发板搭载的芯片为Altera(现已被intel收购)的一款EP4CE15F23C8芯片,而本次要实现的功能很简单,FPGA通过UDP协议向电脑循环发送一串固定的数据,其对应的16进制数为:0x48 0x65 0x6c 0x6c 0x6f 0x2c 0x20 0x77 0x65 0x6c 0x63 0x6f 0x6d 0x20 0x74 0x6f 0x20 0x46 0x50 0x47 0x41 0x0d,将这些数据组包到UDP协议的数据包中,发送给电脑接收显示。

首先在组包协议之前需要知道我们电脑的MAC地址(在设置->以太网哪里就可以看到),并为以太网的IPV4的IP地址设置为我


原文地址:https://blog.csdn.net/m0_51497090/article/details/142746262

免责声明:本站文章内容转载自网络资源,如本站内容侵犯了原著者的合法权益,可联系本站删除。更多内容请关注自学内容网(zxcms.com)!