自学内容网 自学内容网

FPGA-Vivado-IP核-逻辑分析仪(ILA)

ILA IP核

背景介绍

    在用FPGA做工程项目时,当Verilog代码写好,我们需要对代码里面的一些关键信号进行上板验证查看。首先,我们可以把需要查看的这些关键信号引出来,接好线通过示波器进行实时监测,但这会用到大量的线材与仪器设备,增加了项目开发的难,且这样成本较高,非常不利于初学者。

    此时,使用Xilinx旗下Vivado软件自带的逻辑分析仪(ILA)IP核就能很好的解决对代码内部信号分析的问题。不过,该IP核会占用板卡的部分资源。

ILA IP核运行原理

    首先,我们将待测模块的信号引出给ILA,ILA通过JTAG接口连接仿真器与我们的电脑相连接。这样我们就可以在PC上实时监测待测模块的数据变化。

 ILA IP核的使用

    关于ILA IP核的使用与IP核中各个参数所代表的意思,可以参考CSDN上以下的两篇文章

    FPGA学习笔记(5)——硬件调试与使用内置的集成逻辑分析仪(ILA)IP核

    FPGA — Vivado下ILA(逻辑分析仪)详细使用方法

代码演示

1、注意ILA的所有信号均位输入信号

2、主要需要监测信号的位宽

3、以下代码表示,我们需要对三个信号进行监测,这三个信号的位宽分别为1、1、26

// ila_0.veo中代码
ila_0 your_instance_name (
.clk(clk), // input wire clk


.probe0(probe0), // input wire [0:0]  probe0  
.probe1(probe1), // input wire [1:0]  probe1 
.probe2(probe2) // input wire [25:0]  probe2
);

 上板验证需要注意的点

    在文章FPGA — Vivado下ILA(逻辑分析仪)详细使用方法中,关于上板弹出界面的操作及操作代表的含义已有详细说明。如下图展示的ILA Croe 的状态控制和显示窗口。

    以及下图所展示的信号产生触发信号时的条件设置。这些都是需要理解的点,在调试的过程中需要反复的去点击这些设置,才能很好的将该IP核的作用发挥出来,同时也能提高我们编程的效率。


原文地址:https://blog.csdn.net/cabinGGG/article/details/142620441

免责声明:本站文章内容转载自网络资源,如本站内容侵犯了原著者的合法权益,可联系本站删除。更多内容请关注自学内容网(zxcms.com)!