自学内容网 自学内容网

vcs+verdi搭建基础仿真的版本V1

2024-7-20
数字软件安装,仿真环境测试
dut重新修改
makefile重新修改
verdi整合完成

dut.v

module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

all:comp run
comp:
vcs -full64 +v2k -sverilog   dut.v \
-timescale=1ns/1ps \
-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
-debug_acc+all
run:
./simv
verdi:
verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
rm -rf simv*
rm -rf *.vpd
rm -rf *.key
rm -rf csrc

仿真结果

在这里插入图片描述


原文地址:https://blog.csdn.net/qq_36683398/article/details/140579742

免责声明:本站文章内容转载自网络资源,如本站内容侵犯了原著者的合法权益,可联系本站删除。更多内容请关注自学内容网(zxcms.com)!